Sigasi Studio - интерактивная среда разработки на языках VHDL и SystemVerilog

Среда разработки Sigasi Studio являдется удобным помощником для быстрой и эффективной разработки сложных интегральных схем для современной вычислительной аппаратуры. Встроенный редактор кода позволяет получить мгновенную информацию об ошибках, а также ускорить написание программ за счет авто завершения команд. Встроенный быстрый компилятор обрабатывает код одновременно с тем, как Вы его набираете, что значительно повышает качество разработки и делает сам процесс более эффективным и увлекательным.

Sigasi Studio доступна в нескольких редакциях - Starter, Creator, XL и XPRT. Каждая из версий отличается числом поддерживаемых функций. К примеру, во всех версиях предусмотрены базовые инструменты проверки синтаксиса и автозавершения команд. Однако в последних редакциях доступны такие продвинутые инструменты, как построение блочных диаграмм, генерация pdf-документации или разработка проектов с использованием одновременно и языка VHDL, и языка SystemVerilog.

Компания БазисСофт является официальным представителем Sigasi на территории России и СНГ!

Основные возможности

Редактор кода в Sigasi Studio предлагает пользователю не только варианты для автоматического завершения команд и подсвечивание синтаксических ошибок, но и возможность форматирования кода, поиска компонентов и создания графических элементов для представления отдельных частей проекта. Эти графические компоненты также доступны для поиска и автоматически обновляются при изменении кода описания. Отдельно от синтаксических ошибок редактор выделяет сомнительные участки кода, которые нуждаются в корректировке.

Пользователи имеют возможность полностью настроить под себя интерфейс Sigasi Studio для более быстрой и эффективной работы. В случае если большинство Ваших разработок ведется в среде Eclipse, то Sigasi Studio может быть установлена не как самостоятельное приложение, а как плагин Eclipse. Также дополнительно Вы можете использовать привычные Вам системы контроля версий и другие плагины.

Sigasi для образования

Sigasi предлагает пользователям подробную встроенную документацию с большим числом обучающих примеров как для разработки на языке VHDL, так и для языка SystemVerilog. Дополнительно, на YouTube канале доступно большое число демонстрационных видео. Продукт Sigasi Studio доступен университетам на специальных условиях и используется по всему миру тысячами студентов и профессоров.